what's the difference between direct addition and structural

The favorite HDL language in Europe and in Universities

Postby tricky » Tue Nov 16, 2010 10:06 am

if you write it structurally, you're telling the synthesisor how to do its job. With the c <= a+b; you're letting the synthesisor do what it thinks it is best.

Usually, nowadays, the c <= a + b; form is better as the synthesisor is cleverer than most humans (as well as being more readable).
tricky
 
Posts: 56
Joined: Wed Dec 09, 2009 11:50 am

Postby tricky » Thu Nov 18, 2010 3:38 pm

If in doubt, have a look. Xilinx and Altera have tools to let you look at different view - RTL view, logic view and FPGA fabric veiwer.
tricky
 
Posts: 56
Joined: Wed Dec 09, 2009 11:50 am


Return to VHDL