change with sums and shifts

The favorite HDL language in Europe and in Universities

change with sums and shifts

Postby Hyuma » Wed Oct 13, 2010 8:07 pm

hello guys!

I 've a question how can i change this istructions in my structural with simple sums and shifts operations?

pOut <= CONV_STD_LOGIC_VECTOR((2* CONV_INTEGER(pIn) + 1), bitWidth/2 + 1);

pOut <= CONV_STD_LOGIC_VECTOR((2* CONV_INTEGER(pIn)), bitWidth/2 + 1);

thank you in advance
Hyuma
 
Posts: 1
Joined: Wed Oct 13, 2010 8:01 pm
Location: IT

Re: change with sums and shifts

Postby tricky » Thu Jun 16, 2011 2:28 pm

that is shifting. *2^n does bit shift.
tricky
 
Posts: 56
Joined: Wed Dec 09, 2009 11:50 am


Return to VHDL