What value will vector e obtain after the following code:
entity t99 is
Port (
e : out STD_LOGIC_VECTOR (4 downto 0));
end t99;
architecture Behavioral of t99 is
signal a,b,c : std_logic_vector(4 downto 0);
signal d : std_logic_vector(0 to 1);
begin
a <= (1=>'0', 3=>'1', others => b(2));
b <= (1=>'1', 3=>'0', others =>c(0));
c <= (1=>'1', 3=>'0', others =>d(1));
d <= "01";
e <= a;
end Behavioral;
So whats the answer? and explain the solution to me in details, as i am a newcomer.
many thanks.