Procedure calls from other modules

The favorite HDL language in Europe and in Universities

Procedure calls from other modules

Postby anthony » Thu Jun 17, 2010 10:20 pm

Hello everybody,

I've done a number of designs in Verilog. However, it's been quite a while since writing VHDL.

If I have a PROCEDURE in an sub-module, is there a way to access that PROCEDURE from a higher level module?

Thanks

-Anthony
anthony
 
Posts: 1
Joined: Thu Jun 17, 2010 9:33 pm

Postby tricky » Thu Jul 08, 2010 10:58 am

put the procedure into a package.
tricky
 
Posts: 56
Joined: Wed Dec 09, 2009 11:50 am


Return to VHDL