library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity freqmeter is
Port ( FTEST : in STD_LOGIC;
FANA : in STD_LOGIC;
AN : out STD_LOGIC_VECTOR (3 downto 0);
NOKTA : out STD_LOGIC;
FREKANS : out STD_LOGIC_VECTOR (6 downto 0));
end freqmeter;
architecture Behavioral of freqmeter is
signal DONGU : integer range 0 to 49999999 ;
signal I : integer range 0 to 49999999 ;
signal counter: integer range 0 to 49999999 ;
signal saniye : STD_LOGIC ;
signal Q : STD_LOGIC ;
begin
process(FANA)
begin
if (rising_edge(FANA)) then
for I in 0 to 49999999 loop
DONGU <= DONGU+1;
end loop;
saniye <= '1' ;
end if;
end process;
process(FTEST)
begin
if (rising_edge(FTEST)) then
Q <= saniye ;
end if;
if(Q = '1') then
counter <= counter+1 ;
else
counter <= 0 ;
end if;
end process;
end Behavioral;
ı have written..according to way of thinking me ; when ı send the last value of counter to a register then, ı will be found the unknown frequency...but ı gave this error report while ı want to see view synthesis report : FATAL_ERROR:HDLSynthesis:Portability/export/Port_Main.h:127:1.17 - This application has discovered an exceptional condition from which it cannot recover. Process will terminate. For more information on this error, please consult the Answers Database or open a WebCase with this project attached at
http://www.xilinx.com/support.
ı have looked at he
http://www.xilinx.com/support. but ı could not find anything..
what does this mean can u help me..