ROM in Quartus

FPGA projects on this site, or abroad

ROM in Quartus

Postby wade_h » Thu Jun 22, 2006 6:15 am

I need help instantiating a ROM in Quartus 5.1
Been trying to create an 8x512 ROM in a Cyclone: no matter what I do, the compiler quits, complaining that I 'used but did not define' a symbol called RAM_MAX_ADDRESS_WIDTH. I would gladly define it, but how? Where?

Wade H
wade_h
 
Posts: 1
Joined: Thu Jun 22, 2006 6:09 am

Postby tkbits » Thu Jun 22, 2006 9:46 pm

Which language?

Verilog would probably require a "define".

VHDL would probably require defining a "generic" or a constant.
tkbits
 
Posts: 114
Joined: Mon Aug 02, 2004 10:36 pm

Postby WadeH » Thu Jun 22, 2006 10:08 pm

tkbits wrote:Which language?

Verilog would probably require a "define".

VHDL would probably require defining a "generic" or a constant.


Good question... I did it with the Megafunction Wizard, which generates a symbol and associates an intializer file ('.mif') with it . It also generates Verilog include(?)-files, but I just dropped the symbol into my top-level schematic- I wrote no text at all and had no place to define RAM_MAX_ADDRESS_WIDTH

WAde H
WadeH
 
Posts: 13
Joined: Fri Apr 22, 2005 3:17 pm

Postby WadeH » Thu Nov 13, 2008 7:35 pm

I hate to leave things dangling, so here goes:

Since I posted the above question, the same thing has happened three times: "the parameter RAM_MAX_ADDRESS_WIDTH is used but not defined" in a MegaWizard-generated memory (ROMs twice, dual-port RAM once.)
In each case, the solution was to _NEVER_EDIT_THE_FILE_... when an existing file is edited, the parameter seems to disappear, but laboriously re-creating the file fixes this.

Wade
WadeH
 
Posts: 13
Joined: Fri Apr 22, 2005 3:17 pm


Return to General projects