question about oscillator and fpga's clk pin

FPGA projects on this site, or abroad

question about oscillator and fpga's clk pin

Postby indeed » Wed Nov 16, 2005 4:27 pm

In some demo schematices, I see oscilator does not connect directily to fpga's clk pin. :roll:
The oscillator goes through a clock generator such as cy22393fc (cypress inc.), or through a FAST CMOS BUFFER/CLOCK DRIVER such as 49fct3805 (idt inc.) in altera's development kit.
:cry: I really confuse that can I directly connect oscilator to fpga, or I have to use some othe peripherial ICs.

Any one could help me out of this confusion?~~~ :oops:

I really want to cop with this problem, becase this is the first barrier in front of my first prototype. :wink:
indeed
 
Posts: 2
Joined: Wed Nov 16, 2005 4:08 pm

Postby rwyoung » Fri Nov 18, 2005 2:31 am

You can connect an oscillator directly to the FPGA clock pin provided the oscillator output is appropriate for the FPGA's clock input pin. Level, slew rate, duty cycle, etc.

Two oversimplified examples for NOT driving the FPGA pin directly:

A common reason for using a buffer is to isolate the oscillator from its load(s). Some oscillator components can be pulled off frequency if they must source too much current. Driving a single pin of an FPGA proably won't do it but running the clock to 10 loads might.

Clock generator chips can let you de-skew the clock edges, useful if you need to run the clock all over a board and the resulting trace begins looking like an poorly matched transmission line.
rwyoung
 
Posts: 32
Joined: Thu Sep 30, 2004 9:07 pm
Location: Lawrence, KS

roger that!~~~~

Postby indeed » Sat Nov 19, 2005 4:28 pm

:wink: quite useful of your reply!

strengthen the loadability or deskew the rising/falling edge!

I will choose either chip or both if required.

Thanks!
indeed
 
Posts: 2
Joined: Wed Nov 16, 2005 4:08 pm


Return to General projects