CANbus controller core wishbone to OPB fpga

FPGA projects on this site, or abroad

CANbus controller core wishbone to OPB fpga

Postby masa » Fri Mar 26, 2010 7:56 pm

xilinx EDK

Hi, i'm interested in using a can controller core in an spartan3e FPGA project. I'm using xilinx EDK right now, and I've seen a free can controller at opencores, but it uses a wishbone bus connection. I see they also have a wishbone to OPB bridge. Has anyone tried this before or know of a good tutorial/guide to get this working? Would there be any editing of code involved?

Thanks alot.
masa
 
Posts: 4
Joined: Thu Mar 25, 2010 6:03 pm

Return to General projects