FPGA I2C addressing issue

FPGA projects on this site, or abroad

FPGA I2C addressing issue

Postby Indira » Tue Feb 05, 2008 9:13 am

Hi,

I have a question related to FPGA I2C addressing. Below is the query.

1) Are Xilinx FPGAs configured for a particular device address (I2C address) at production? (Similar to other peripherals like PROM, Clk. generator which have a predefined address for communication with Master over I2C Bus)

2) If the answer to above is a "NO", can the FPGA I2C address be programmed by user in the FPGAs I2C controller logic itself, and same address mapped in Microcontroller (master) in order to initiate write/read into FPGA(Slave)? Will this concept work?

I could find below posted in FAQ.
http://www.fpga4fun.com/I2Cslave1.html

Please advise.

Thanks,
-Indira
Indira
 
Posts: 2
Joined: Tue Feb 05, 2008 7:41 am

Postby Neuer_User » Tue Feb 05, 2008 12:33 pm

If you read carefully the page you mentioned, you see

Code: Select all
Then the 7-bits address that we want for our I2C slave.

parameter I2C_ADR = 7'h27;

So, here you can put whatever address you want.
Neuer_User
 
Posts: 16
Joined: Mon Dec 10, 2007 8:40 am

Postby Indira » Wed Feb 06, 2008 4:56 am

Thanks for the reply!

I have read the FAQ article. Just wanted to confirm with members of forum if they have seen this concept work. Because per my understanding all slave devices will have a physical I2C device address (hardwired).

I will certainly try the method suggested in FAQ :)
Indira
 
Posts: 2
Joined: Tue Feb 05, 2008 7:41 am

Postby Neuer_User » Wed Feb 06, 2008 10:03 am

It should work as in the FPGA the I2C interface is not hardwired but a software design. So you are able to define the address.

Cheers,

Michael
Neuer_User
 
Posts: 16
Joined: Mon Dec 10, 2007 8:40 am


Return to General projects