urgently needs 16-bit adder for my project

Anything about FPGA boards, like how to assemble SMD components, find low-voltage regulators, FPGA configuration...

urgently needs 16-bit adder for my project

Postby anch » Sat May 08, 2010 11:00 pm

Hi All,

I need a fastest 16-bit adder in verilog. If anyone has already made it please provide me the code.


Regards

Adnan
anch
 
Posts: 9
Joined: Thu Oct 29, 2009 5:28 pm


Return to General boards