Xylo-lm Pinproblem

Saxo/-L & Xylo/-EM/-L/-LM boards

Xylo-lm Pinproblem

Postby Sickit » Tue Jun 08, 2010 6:58 pm

if i try on the armprocess (lpc2138) to write to arm_pin 0.11 a cant read it on the fpga_pin 9
i dont know why

arm-code (parts of a bigger programm)

Code: Select all
#include "lpc23xx.h"
int main (void)
{
IODIR0 |= 0xFF<<8; // p0.8-p.15 for output
  while(1)
  {
   IOPIN0 |= 0xFF<<8; // all ouputpins to 1
  }
  return(0);
}



now parts of the vhdlcode
Code: Select all

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;


entity main is
port (
clk: in std_logic;

LED: out std_logic;
LEDzwo: out std_logic;
PortA: in std_logic_vector(7 downto 0)
);
end main;

architecture Behavioral of main is


begin







states: process(clk)
begin
   if clk'event and clk = '1' then
   LED <= PortA(2);
   LEDzwo <= PortA(3);
end if;
end process;


end Behavioral;


and the ucf for it
Code: Select all
NET "clk"  LOC = "p181" | IOSTANDARD = LVCMOS33 ;

NET "LED"  LOC = "p147" | IOSTANDARD = LVCMOS33 ;
NET "LEDzwo"  LOC = "p146" | IOSTANDARD = LVCMOS33 ;

NET "PortA[0]"  LOC = "p14" | IOSTANDARD = LVCMOS33 ;
NET "PortA[1]"  LOC = "p12" | IOSTANDARD = LVCMOS33 ;
NET "PortA[2]"  LOC = "p11" | IOSTANDARD = LVCMOS33 ;
NET "PortA[3]"  LOC = "p9" | IOSTANDARD = LVCMOS33 ;
NET "PortA[4]"  LOC = "p8" | IOSTANDARD = LVCMOS33 ;
NET "PortA[5]"  LOC = "p6" | IOSTANDARD = LVCMOS33 ;
NET "PortA[6]"  LOC = "p5" | IOSTANDARD = LVCMOS33 ;
NET "PortA[7]"  LOC = "p4" | IOSTANDARD = LVCMOS33 ;


the KNJN FX2 ARM boards.pdf says armpin 11 is fpgapin 9
other pins work so it cant be a big failure

one led is glowing but not the other one...


somebody an idea? (that doesnt say something about 119errors)
Sickit
 
Posts: 1
Joined: Tue Jun 08, 2010 6:41 pm

Re: Xylo-lm Pinproblem

Postby mrks » Wed Jun 08, 2011 5:05 pm

Hello,

I didn't look up the datasheet of the LPC, but I remember setting the GPIO values using the IOSET0 register. Does writing the IOPIN0 register have the same effect?

I do not have my board here right now, but I'll have a look tomorrow.
mrks
 
Posts: 2
Joined: Wed Jun 08, 2011 4:55 pm


Return to FX2 FPGA boards