Simple FX2 Questions

Saxo/-L & Xylo/-EM/-L/-LM boards

Simple FX2 Questions

Postby seattleEE » Thu Sep 17, 2009 3:43 am

Will the FX2 work as FIFO to FPGA without any firmware? In other words, does it know how to do endpoint 0 and the FIFOs all in hardware? Or is some minimum amount needed to set clocks, port pin directions, etc?

I don't see any hex file for my xylo board, so I suspect it knows how to do this in hardware. But it could also be flashed. But if it's flashed, and I over write the flash, what is needed to put it back?

Thanks
seattleEE
 
Posts: 13
Joined: Sat Sep 13, 2008 4:42 pm

Postby reedb » Tue Oct 27, 2009 3:13 pm

The FX2 may well be capable of this in some configurations (I'm thinking of high speed mode where the 8051 can't keep up). Based on my testing, this will not work on the Xylo LM as currently configured. I also had mail from Jean stating that the FX2 interface code was downloaded by his configuration programs, and lost on power down of the Xylo. Of course you can just call FPGAconfig_console.exe from your app, but because of it's size and the modules it pulls in I don't want to do that:

C:\WINDOWS\system32\setupapi.dll
C:\WINDOWS\system32\wsock32.dll
C:\WINDOWS\system32\WS2_32.dll
C:\WINDOWS\system32\WS2HELP.dll

If you came up with a solution please post. I'm working on this actively again.
reedb
 
Posts: 14
Joined: Sun Apr 05, 2009 4:06 pm
Location: Bowen Island, Canada


Return to FX2 FPGA boards