Power supply issue?

Saxo/-L & Xylo/-EM/-L/-LM boards

Power supply issue?

Postby ICs » Thu Oct 30, 2008 7:59 pm

Hi
I recently purchased the Xylo-LM board. So far it is working well. I have tested many bit files including pgms to send data from the PC, process the data and read it out using the I/O pins and it works fine. I use USB cable to download and this provides the power supply to the board. Now, instead of the desktop PC, I am using a pocket PC and trying to do the same. I am able to download bit files without any issues. The LED blink pgm works fine too. But when I try to send data from the pocket PC to the FPGA and read it using the I/O pins, it gives me no values. Also, I tried simple pgms to just enable some pins(say I/O pins 48,49 etc). It doesn't work . The same pgm with no changes works when I use my desktop. What could the reasons be? Since the LED blink pgm is working, I think the pins are getting driven high. Why aren't the other I/O pins behaving in the same way. Is there anything I am doing wrong here??
thanks
ICs
 
Posts: 6
Joined: Thu Oct 30, 2008 6:46 pm

Postby fpga4fun » Thu Oct 30, 2008 11:11 pm

Make sure you follow the checklist from chapter 8.2 in the doc (in particular, for unused Pins”, select “As inputs, tri-stated”).
fpga4fun
Site Admin
 
Posts: 837
Joined: Thu Sep 18, 2003 6:47 am

Postby ICs » Fri Oct 31, 2008 2:56 pm

hi
Thanks for that. I did make that change and still it didn't work. And finally it occurred to me that I had forgotten to gnd some of the gnd pins on teh Xilinx FPGA and after that it started working!!
Thanks again
ICs
 
Posts: 6
Joined: Thu Oct 30, 2008 6:46 pm


Return to FX2 FPGA boards