Vga timing

Requests, not necessarily related to fpga4fun...

Vga timing

Postby stech » Mon Feb 07, 2011 5:29 am

How can I use a 30 MHz oscillator with altera epm3064/verilog to get a correct VGA timing 640x480 @ 60hz ?

Or, it's posible to obtain 25(.175)Mhz from 30Mhz clk?
stech
 
Posts: 1
Joined: Mon Jan 25, 2010 10:29 am

Return to Help requests